财联社
财经通讯社
打开APP
半导体芯片
关注
8.4W 人关注
常温下导电性能介于导体与绝缘体之间的材料。现今,大部分的电子产品,如计算机、移动电话或是数字录音机当中的核心单元都和半导体有着极为密切的关连。
芯片产业链
+1.73%
龙头股
古鳌科技
+20.00%
三未信安
+10.21%
  • 48分钟前 来自 上证报
    财联社9月20日电,2024年行业集体路演半导体专场9月19日在上交所举行。韦尔股份、闻泰科技、彩虹股份、新洁能、乐鑫科技、东芯股份等半导体细分领域龙头公司纷纷亮相,介绍上半年业绩,与投资者畅聊对下半年的乐观展望。在互动交流环节,针对投资者对人工智能(AI)相关业务的关切,多家公司阐述了受益逻辑、产品研发及市场开拓进展等情况。
    阅读 4w+
    11
  • 昨天 21:28 来自 财联社
    财联社9月19日电,美国芯片厂商Marvell在其官网发布讣告称,Marvell联合创始人周秀文(Sehat Sutardja)去世。1995年,周秀文与妻子戴伟立(Weili Dai)以及他的胞弟周秀武(Pantas Sutardja)共同创办了Marvell Technology。讣告显示,作为发明家和共同发明人,周秀文拥有440多项专利。
    阅读 87.7w+
    40
  • 昨天 17:08 来自 财联社
    《科创板日报》19日讯,华海清科公告,公司12英寸超精密晶圆减薄机Versatile-GP300已完成首台验证,标志其性能获得客户认可,满足批量化生产需求。该机型通过创新布局和先进技术,具备高精度、高刚性等优势,适用于集成电路、先进封装等制造工艺。随着HBM等先进封装技术的应用,将大幅提升市场对减薄装备的需求。
    华海清科
    -2.38%
    阅读 148.9w+
    2
    100
  • 昨天 16:24 来自 财联社
    《科创板日报》19日讯,知名分析师郭明錤发文称,2025年iPhone 17处理器将采用台积电N3P/3nm制程。预计2026年iPhone 18的处理器将采用台积电2nm制程,但基于成本考量,可能不会所有新款iPhone 18配件都配备2nm制程的处理器。
    阅读 169w+
    3
  • 昨天 15:24 来自 财联社
    财联社9月19日电,在2024年华为全联接大会上,华为副董事长、轮值董事长徐直军表示,华为的战略核心就是,充分抓住人工智能变革机遇,基于实际可获得的芯片制造工艺,计算、存储和网络技术协同创新,开创计算架构,打造“超节点+集群”系统算力解决方案,长期持续满足算力需求。徐直军表示:第一、不是每个企业都要建设大规模AI算力,每个企业都要思考适合自己的获取AI算力的方式;其次,不是每个企业都要训练自己的基础大模型。基础大模型预训练数据量进入10万亿tokens量级,不仅意味着高成本,同时是否能获取到足够的数据量也是挑战;第三、不是所有的应用都要追求“大”模型。十亿参数模型可以满足科学计算、预测决策等业务场景的需求,在PC、手机等端侧设备上,也有广泛应用。而百亿参数模型可以满足知识问答、代码生成、坐席助手等面向NLP、CV、多模态等大量特定领域场景的需求。面向NLP、多模态的复杂任务,可以用千亿参数模型来完成。(记者 黄心怡)
    阅读 194.6w+
    10
  • 昨天 14:46 来自 财联社
    《科创板日报》19日讯,Omdia最新报告显示,2024年第二季度半导体总收入创下1621亿美元的新高,季度增长6.7%。比2021年第四季度创下的纪录高出约5亿美元。其增长主要得益于英伟达,目前其该季度半导体收入2021年第四季度高出180亿美元。
    阅读 230.2w+
    3
  • 昨天 13:52 来自 财联社
    《科创板日报》19日讯,据TrendForce集邦咨询最新调查,2024年因消费性产品终端市场疲弱,零部件厂商保守备货,导致晶圆代工厂的平均产能利用率低于80%,仅有HPC(高性能计算)产品和旗舰智能手机主流采用的5/4/3nm等先进制程维持满载;不同的是,虽然消费性终端市场2025年能见度仍低,但汽车、工控等供应链的库存已从2024年下半年起逐渐落底,2025年将重启零星备货,加上Edge AI(边缘人工智能)推升单一整机的晶圆消耗量,以及Cloud AI持续布建,预估2025年晶圆代工产值将年增20%,优于2024年的16%。
    阅读 239.4w+
    5
  • 昨天 13:50 来自 财联社
    《科创板日报》19日讯,根据TrendForce集邦咨询最新调查,虽然消费性终端市场2025年能见度仍低,但汽车、工控等供应链的库存已从2024年下半年起逐渐落底,2025年将重启零星备货,加上Edge AI(边缘人工智能)推升单一整机的晶圆消耗量,以及Cloud AI持续布建,预估2025年晶圆代工产值将年增20%,优于2024年的16%。
    阅读 255.7w+
    32
  • 昨天 09:27 来自 财联社
    财联社9月19日电,SK海力士跌幅扩大至10%,此前摩根士丹利下调其评级并将目标价减半。
    阅读 317.4w+
    4
    26
  • 昨天 09:02 来自 ChosunBiz
    《科创板日报》19日讯,三星公司计划在年底前启动重组DS(半导体代工)部门计划,从而打破部门壁垒。该公司未来计划裁员高达30%,其代工业务正面临多重困境,包括3nm GAA工艺的低良率问题。
    阅读 323.9w+
    3
  • 昨天 08:18 来自 财联社
    财联社9月19日电,SK海力士跌幅扩大至8.2%,韩美半导体跌6.2%。
    阅读 349.6w+
    5
    27
  • 09-18 21:57 来自 上证报
    财联社9月18日电,广发证券电子行业首席分析师耿正表示,国产半导体设备市场空间广阔。从半导体晶圆制造设备市场来看,当前国产半导体设备公司的产品迭代更新进展显著,新品导入客户的进度提速,不断丰富业务增长点,增加可服务市场空间。此外,国产设备对成熟制程的工艺覆盖度日趋完善,并积极推进先进制程的工艺突破。未来,国产半导体设备有望持续受益于设备市场规模的扩张。
    阅读 343w+
    4
    44
  • 09-18 21:05 来自 科创板日报记者 张洋洋
    与台积电合作AI芯片?字节跳动回应来了
    阅读 80.1w+
    9
  • 09-18 20:51 来自 财联社记者 陆婷婷
    三安光电衬底厂已点亮通线!碳化硅产业链加速进击8英寸 多家厂商透露新进展|行业动态
    阅读 102w+
    29
  • 09-18 17:50 来自 科创板日报记者 吴旭光
    天岳先进董事长宗艳民:碳化硅衬底价格将继续下降 拟扩展8英寸产品产能|直击业绩会
    阅读 91.3w+
    8
  • 09-18 15:59 来自 科创板日报记者 郭辉
    甬矽电子:上半年稼动率整体回升 下游IoT客户需求乐观 2.5D项目预计Q4通线|直击业绩会
    阅读 91.1w+
    3
  • 09-18 15:51 来自 Euronews
    《科创板日报》18日讯,英特尔CEO帕特·基辛格表示,公司将推迟在德国马格德堡建设芯片工厂至少两年,预计于2027年或2028年开始生产。他表示:“我们必须继续紧急采取行动,建立更具竞争力的成本结构,实现我们上个月宣布的100亿美元的节约目标。”
    阅读 352.7w+
    1
  • 09-18 14:31 来自 闪存市场
    《科创板日报》18日讯,美光近日推出Crucial P310 2280 Gen4 NVMe SSD,其性能比Gen3 SSD快两倍,可满足个人电脑、笔记本电脑和PlayStation 5的需求。
    阅读 354.4w+
    1
  • 09-18 14:13 来自 财联社
    《科创板日报》18日讯,市场研究公司Omdia预测今年第三季度全球半导体行业总收入可达1758.66亿美元,环比增长8.5%左右。分析师认为,英伟达是“AI 崛起”浪潮中的最大受益者,预计第三季度营收为281.03亿美元,并将继续保持其市场领先地位(半导体销售份额16.0%)。预计三星电子Q3半导体销售额将达到217.12亿美元,预计仍能以12.3%的市场份额保持第二。Omdia预测SK海力士第三季度销售额为128.34亿美元,市场份额7.3%超越英特尔跃居第三位。
    阅读 334.8w+
    23
  • 09-18 14:05 来自 财联社
    《科创板日报》18日讯,知名半导体专栏作家高灿鸣援引消息人士的话称,台积电已开始在亚利桑那州工厂少量生产苹果A16芯片。一旦该工厂Fab 21一期的第二阶段完工并投产,A16产量将大幅增加。目前台积电亚利桑那州厂的良率略低于中国台湾厂的良率,但相差不大。预计未来几个月该工厂良率可达与中国台湾厂相同的水平。
    阅读 336w+
    3
    41